UFSC logo

Eduardo Augusto Bezerra, PhD
Embedded Systems and Space Applications

EEL logo

Home | Interests | Research | Teaching | Publications | Download

GSE | LISHA | Innalogics | Opportunities | Contact


EEL7020 - Sistemas Digitais

Semestre 2011/2

Follow EEL7020 on Twitter


Curso: Engenharia Elétrica
Turmas: 2202ABCDE, 2220ABC, 8213AC
Código da disciplina: EEL7020
Carga horária: 60 horas-aula
Número de créditos: 4
Nome do professor: Eduardo Augusto Bezerra

Horário das aulas:
  • Seg 08:20-10:00 - Lab - Turmas 2220A e 2202E - Prof. Joni Fraga
  • Ter 10:10-11:50 - Lab - Turmas 2202B e 8213A - Prof. Eduardo Bezerra
  • Ter 13:30-15:10 - Teoria - Turmas 2202ABCDE - Prof. Djones Lettnin
  • Qua 13:30-15:10 - Lab - Turmas 2202A e 2220C - Prof. Eduardo Bezerra
  • Qui 08:20-10:00 - Teoria - Turmas 2220ABC e 8213AC - Prof. Joni Fraga
  • Sex 08:20-10:00 - Lab - Turmas 2220B e 2202C - Prof. Eduardo Bezerra
  • Sex 13:30-15:10 - Lab - Turmas 8213C e 2202D - Prof. Eduardo Bezerra




Ementa

Conceitos introdutórios; códigos e sistemas de números; portas lógicas e álgebra booleana; circuitos lógicos combinacionais; flip-flop e dispositivos relacionados; aritmética digital: operações e circuitos; contadores e registradores; famílias lógicas; circuitos lógicos MSI; memórias; dispositivos lógicos programáveis.



Objetivos


O cumprimento da disciplina busca dar ao aluno, ao final do semestre, condições de:
  • Aprender conceitos relacionados a sistemas numéricos, álgebra de chaves e fundamentos da área de sistemas digitais.
  • Desenvolver a capacidade de análise de sistemas digitais de complexidade baixa ou média.
  • Entender metodologias de síntese de sistemas digitais de complexidade baixa ou média.
  • Projetar sistemas digitais de baixa complexidade em nível de RTL.
  • Projetar sistemas digitais usando linguagens de descrição de hardware.
  • Compreender o fluxo de ferramentas de auxílio ao projeto de sistemas digitais (simuladores, minimizadores, entre outros).
  • Conhecer dispositivos lógicos reconfiguráveis (FPGA, CPLD).



Metodologia

  • O conteúdo programático será desenvolvido por meio de aulas expositivas com auxílio de recursos multimídia.
  • Aulas teóricas, expositivas, com slides preparados a partir dos livros texto da disciplina
  • Exercícios teóricos a serem resolvidos em aula e extra-classe pelos alunos
  • Aulas práticas, em laboratório, onde os alunos seguirão tutoriais fornecidos pelo professor com instruções para utilização de ferramentas e placas de desenvolvimento
  • Ao final de cada aula prática os alunos deverão preparar um relatório descrevendo as atividades realizadas e os resultados observados/obtidos
  • O material a ser utilizado nas aulas práticas e slides das aulas teóricas podem ser encontrados na página da disciplina
  • Os alunos serão informados sobre ferramentas de simulação e sobre linguagem de descrição de hardware a partir da plataforma Moodle e da página da disciplina, onde também serão eventualmente adicionados exercícios extra-classe para complementar a formação.
  • Ao longo do curso, far-se-á uso eventual de HDLs para descrever os circuitos e sistemas digitais apresentados.
  • Atendimento aos alunos em horário extra-classe visando esclarecer dúvidas pontuais e individuais
  • Auxílio de monitor para esclarecer dúvidas



Avaliação

  • Duas provas teóricas sobre o conteúdo da disciplina. A média aritmética destas notas é a média da teoria (MT).
  • A média do laboratório (ML) é a média aritmética dos trabalhos práticos desenvolvidos durante o semestre.
  • A média geral do semestre (MS) é a média aritmética: MS = (MT + ML) / 2 arredondada para o meio ponto mais próximo (meio ponto superior, nos casos das frações ,25 e ,75).
  • Condições para a aprovação:
    • Sem avaliação final: freqüência > 75% e MS >= 6,0
    • Com avaliação final: freqüência > 75%, 3,0 <= MS <= 5,5 e (MS + R) / 2 >= 6,0 onde R é a nota da avaliação final
  • Média final:
    • sem avaliação final: MS
    • com avaliação final: (MS + R) / 2



Bibliografia

  • Livro texto:
  • Bibliografia complementar:
    • [Kat05] Randy Katz, Gaetano Borrielo, "Contemporary Logic Design"., 2nd ed., Prentice Hall, 2005.
    • [Uye02] John P. Uyemura, "Sistemas digitais: Uma abordagem integrada", Thomson, 2002.
    • [Wak05] John F. Wakerly, "Digital design: Principles and practices", Prentice Hall, 2005.
    • [Vah07a] Frank Vahid, Roman Lysecky, "Verilog for Digital Design", 1st ed., Wiley, 2007.



Monitoria

  • Monitor: Vanderlei Cardoso - email: vandeco.cardoso AT hotmail.com
  • Horário do monitor:
    • Seg 16:20-18:00
    • Ter 18:30-22:00
    • Qua 10:10-11:50
    • Qui 18:30-22:00
  • Equipe de apoio (alunos de mestrado):
    • Cladio Martins - email: martins.cladio AT gmail com
    • Frederico Ferlini - email: fferlini AT gmail com
    • Paulo Villa - email: prcvilla AT gmail com



Horário de atendimento aos alunos

  • Horários disponíveis:
    • Segunda 10:00-13:00
    • Quarta 08:00-13:00
    • Verificar a agenda semanal para outros horários:



Ferramentas e Materiais




Trabalho prático



Organização das aulas de laboratório

Aula Data - Hora Turma Conteúdo
Lab 1 15/08 - 08:20
16/08 - 10:10
17/08 - 13:30
19/08 - 08:20
19/08 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 1 - Fluxo Quartus II - Esquemático
Introdução FPGAs
Introdução DE2
Manual DE2
Tutorial (Lab 1)
Lab 2 22/08 - 08:20
23/08 - 10:10
24/08 - 13:30
26/08 - 08:20
26/08 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 2 - Fluxo Quartus II - VHDL
Tutorial VHDL Quartus II
Laboratórios da Altera
Lab 3 29/08 - 08:20
30/08 - 10:10
31/08 - 13:30
02/09 - 08:20
02/09 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 3 - Chaves, Luzes (I/O)
Tutorial VHDL Quartus II
Pinos.csv
Simulador LCD
Datasheet LCD

05/09 - 08:20
06/09 - 10:10
07/09 - 13:30
09/09 - 08:20
09/09 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Dia 07/09, feriado. Nas demais aulas
revisão dos labs anteriores.
Lab 4 12/09 - 08:20
13/09 - 10:10
14/09 - 13:30
16/09 - 08:20
16/09 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 4 - Circuitos Combinacionais:
multiplexadores

Pinos.csv
Testbench ModelSim
Lab 5 19/09 - 08:20
20/09 - 10:10
21/09 - 13:30
23/09 - 08:20
23/09 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 5 - Circuitos Combinacionais:
codificadores e conversores de código

Testbench ModelSim
Lab 6 26/09 - 08:20
27/09 - 10:10
28/09 - 13:30
30/09 - 08:20
30/09 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 6 - Circuitos Combinacionais:
somadores e subtratores

Testbench ModelSim
Lab 7 03/10 - 08:20
04/10 - 10:10
05/10 - 13:30
07/10 - 08:20
07/10 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 7 - Circuitos Sequenciais:
processos, latches, flip-flops

Testbench ModelSim

10/10 - 08:20
11/10 - 10:10
12/10 - 13:30
14/10 - 08:20
14/10 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Dia 12/10, feriado. Nas demais aulas,
Lab 8 - Circuitos Sequenciais:
processos, registradores

Testbench ModelSim
Lab 8 17/10 - 08:20
18/10 - 10:10
19/10 - 13:30
21/10 - 08:20
21/10 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Dia 19/10, Lab 8 - Circuitos Sequenciais:
processos, registradores

Nas demais aulas, revisão dos labs anteriores.
Lab 9 24/10 - 08:20
25/10 - 10:10
26/10 - 13:30
28/10 - 08:20
28/10 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Dia 28/10, feriado. Nas demais aulas:
Lab 9 - Circuitos Sequenciais:
síntese de máquinas de estado (FSM) - contadores

Testbench ModelSim

31/10 - 08:20
01/11 - 10:10
02/11 - 13:30
04/11 - 08:20
04/11 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Dia 02/11, feriado.
Dia 04/11, Lab 9 - Circuitos Sequenciais:
síntese de máquinas de estado (FSM) - contadores

Nas demais aulas: revisão dos labs anteriores.
Lab 10 07/11 - 08:20
08/11 - 10:10
09/11 - 13:30
11/11 - 08:20
11/11 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Lab 10 - Circuitos Sequenciais:
síntese de máquinas de estado (FSM) - controladores

Testbench ModelSim

14/11 - 08:20
15/11 - 10:10
16/11 - 13:30
18/11 - 08:20
18/11 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Dias 14/11 e 15/11, feriado.
Nas demais aulas, desenvolvimento do trabalho final.

21/11 - 08:20
22/11 - 10:10
23/11 - 13:30
25/11 - 08:20
25/11 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Desenvolvimento do trabalho final.
Dia 25/11 - entrega da documentação e VHDL no Moodle.
Avaliação final 28/11 - 08:20
29/11 - 10:10
30/11 - 13:30
02/12 - 08:20
02/12 - 13:30
2220A/2202E
2202B/8213A
2202A/2220C
2220B/2202C
8213C/2202D
Semana de apresentação do trabalho final